福田昭のセミコン業界最前線

2021年のVLSIシンポジウム、CMOSは1nm以下へと微細化の極限を目指す

VLSIシンポジウム(2021 VLSI)のウェブサイト日本時間の6月1日(火曜日)午後2時にオンデマンドセッションを開始する予定。背景は本来の開催予定地だった京都の風景

 半導体デバイス・プロセス技術と半導体回路技術に関する最先端の研究開発成果を披露する国際学会「VLSIシンポジウム(VLSI Symposia)」が、今年(2021年)も始まる。新型コロナウイルス感染症の世界的な大流行により、昨年(2020年)に続いてオンラインの「バーチャルカンファレンス」となった。

 「VLSIシンポジウム」は、半導体のデバイス・プロセス技術に関する国際学会「Symposium on VLSI Technology(VLSI技術シンポジウム)」と、半導体の回路技術に関する国際学会「Symposium on VLSI Circuits(VLSI回路シンポジウム)」の2つのシンポジウムで構成される。「VLSIシンポジウム」は、全体の総称である。

今年も「オンデマンド」と「ライブ」で構成、6月1日にオンデマンドを開始

 バーチャルカンファレンスとなった昨年に続き、今年も「オンデマンド」と「ライブ」の2つのプログラムを用意した。「オンデマンド」は、ウェブブラウザを通じて講演の録画を視聴するセッションである。日本時間の6月1日(火曜日)午後2時に、「オンデマンド」を始める予定だ。技術講演(テクニカルプレゼンテーション)、ワークショップ、ショートコース、フォーラムの講演ビデオを視聴できるようになる。現時点では、8月末までの視聴期間を予定する。

 「ライブ」では、招待講演やパネル討論会などのほか、技術講演とワークショップ、ショートコース、フォーラムの質疑応答を実施する。期間は6月13日~6月19日である。

VLSIシンポジウム(バーチャルカンファレンス)の構成。2021年4月27日にVLSIシンポジウム委員会が実施した記者会見の資料から
VLSIシンポジウムの全体スケジュール。筆者が記者会見の資料や公式資料などからまとめたもの
ライブセッションのスケジュール。2021年4月27日にVLSIシンポジウム委員会が実施した記者会見の資料から

ライブの基調講演は製造、材料、CPU、GPUがテーマ

 「ライブ」で最も注目されるのは、基調講演だろう。今年もVLSI技術シンポジウム(以降は「技術シンポジウム」と表記)から2件、VLSI回路シンポジウム(以降は「回路シンポジウム」と表記)から2件の基調講演を予定する。テーマは技術シンポジウムが「製造技術」と「材料技術」、回路シンポジウムが「CPU技術」と「GPU技術」である。

技術シンポジウムの基調講演。2021年4月27日にVLSIシンポジウム委員会が実施した記者会見の資料から
回路シンポジウムの基調講演。2021年4月27日にVLSIシンポジウム委員会が実施した記者会見の資料から

 VLSIシンポジウムの参加登録料は、一般参加が3万3,000円。参加料金には技術講演会と招待講演、パネル討論会、ワークショップなどを含む。またプレイベントであるショートコースとフォーラムは「バンドル(Bundle)」と呼ぶパッケージの別料金となっている。バンドルの一般参加料は2万2,000円である。なおIEEEの会員と応用物理学会の会員は参加料が割引となる。

28nm以下の配線ピッチを実現するデュアルダマシンプロセス

 ここからは、6月1日に公開が予定されているオンデマンドの技術講演会に絞って見どころを説明する。技術講演会は技術シンポジウムのセッションと回路シンポジウムのセッション、それから両シンポジウムの合同セッションで構成される。始めは技術シンポジウムおよび合同シンポジウムの注目講演を見ていこう。

 まずは最先端のCMOSロジック製造技術である。Samsung Electronics(以降はSamsungと表記)は、5nm世代の超低消費電力CMOSロジックプラットフォームを発表する(講演番号T5-1)。基準の5nmプロセス(ベースライン)と比べて動作時消費電力を5%、待機時消費電力を13%削減した。

 IBM ResearchとApplied Materialsは、28nm以下と狭い配線ピッチに対応したデュアルダマシンプロセスを共同で報告する(講演番号T5-2)。選択成膜TaN(窒化タンタル)バリア/Cu(銅)リフローのプロセスと、Cu/Co(コバルト)複合材料プロセスをそれぞれ開発し、10nmと狭い幅の配線を試作した。

技術シンポジウムの注目講演(最先端CMOSロジック技術)。プログラムとプレスキットから筆者がまとめた

1nm以下の技術世代を担う2次元材料のトランジスタ

 続いて2次元材料のトランジスタ技術に関する注目講演を報告しよう。1nm以下の技術世代でCMOSロジックの候補とされているトランジスタ技術である。ただし、チャンネルの材料はSi(シリコン)ではない。遷移金属とカルコゲン原子の化合物(MX2:Mは遷移金属、Xはカルコゲン)で、「遷移金属ダイカルコゲナイド(TMD)」と呼ばれる。TMDは単原子層~数原子層と極めて薄いチャンネルを作れる(このため2次元材料と呼ばれる)。ゲート長が10nm以下になっても短チャンネル効果が起きない、キャリアの移動度が高いといった特長を備える。

 Intelは単原子層の2次元材料をチャンネルとするnチャンネルMOSFETとpチャンネルMOSFETを試作した(講演番号T2-3)。nチャンネルMOSのチャンネル材料はMoS2(二硫化モリブデン)、pチャンネルMOSのチャンネル材料はWSe2(二セレン化タングステン)である。

 imecはWS2(二硫化タングステン)をチャンネル材料とするデュアルゲートのMOS FETを試作した(講演番号T3-1)。3,000個のトランジスタを試作して静特性を評価した結果を発表する。imecはまた、MoS2の単原子層をチャンネルとするMOS FETを300mmウェハのプロセスで製造し、90%を超える歩留まりを確認した(講演番号T3-6)。水素アニール温度を400℃と低くすることにより、多層配線(BEOL)プロセスとのハイブリッド集積を可能にしている。

技術シンポジウムの注目講演(2次元材料のトランジスタ技術)。プログラムとプレスキットから筆者がまとめた

170層を超える最先端の高層3D NANDフラッシュ技術

 次はメモリ技術に目を転じよう。3D NANDフラッシュメモリと次世代不揮発性メモリの研究開発成果が相次いで発表される。

 3D NANDフラッシュメモリでは、Samsungの開発成果が目立つ。同社にとっては第7世代となる3D NANDフラッシュメモリ技術(V7 NAND技術)の概要を発表する(講演番号T2-2)。セルトランジスタの積層数は17x層と多い。メモリセルアレイと周辺回路を積層するCOP(Cell Over Periphery)」構造とダブルスタック構造を採用した。

 Samsungは、128層の3D NANDフラッシュをシングルスタックで製造したメモリセルの信頼性を評価した結果も発表する(講演番号T8-3)。メモリスルーホールの形状が歪む部分が、劣化の引き金となる。

 imecは、3D NANDフラッシュメモリのワード線にRu(ルテニウム)およびMo(モリブデン)を導入して特性を評価した(講演番号T8-1)。抵抗値はいずれも、従来のワード線金属であるW(タングステン)よりも低い。ワード線の厚みを20nmと薄くできる見通しを得た。

技術シンポジウムの注目講演(3D NANDフラッシュメモリ技術)。プログラムとプレスキットから筆者がまとめた

次世代不揮発性メモリをロジックに埋め込む

 次世代不揮発性メモリでは、技術開発企業のFerroelectric Memoryなどが強誘電体トランジスタ(FeFET)をメモリセルとする不揮発性メモリの開発状況を概観する(講演番号TFS1-5)。136Kbitのメモリセルアレイを試作して25nsと短い読み出し時間を確認した。

 東京大学と富士通セミコンダクターメモリソリューションズは、HfZrO(ハフニウムジルコニウム酸化物)強誘電体キャパシタをBEOL(多層配線工程)内に作り込む試みを報告する(講演番号T7-3)。4nm厚のHfZrO膜で500℃と低い結晶化温度と0.7~1.2Vの低電圧動作、10の14乗回の読み出し/書き込み寿命を実現した。

 技術開発企業のAvalanche Technologyは、ラストレベルキャッシュ向けの埋め込みSTT-MRAM技術を開発した(講演番号T12-5)。磁化反転時間は10nsと短い。10の14乗回という長い書き換え寿命を達成した。

技術シンポジウムの注目講演(次世代不揮発性メモリ技術)。プログラムとプレスキットから筆者がまとめた

CMOSイメージセンサーと埋め込みMRAMのロジックを3次元積層

 光半導体関連でも、注目すべき発表が少なくない。始めにイメージセンサー、次にシリコンフォトニクスの注目講演をご紹介する。

 ソニーセミコンダクタソリューションズグループは、CMOSイメージセンサー(CIS)のシリコンダイとロジックのシリコンダイを3次元積層するプロセスに向けた埋め込みSTT-MRAM技術を開発した(講演番号T2-5)。40nm世代のロジックにバッファメモリとしてMRAMを組み込める。

 Samsungは、最大960フレーム/秒のグローバルシャッタ方式200万画素CMOSイメージセンサーを開発した(講演番号JFS4-4)。イメージセンサーのダイとAD変換回路/デジタルメモリのダイをウェハレベルの積層で接続した。ビデオ速度の消費電力は116.2mW。開発には最先端のDRAM技術を活用した。

技術シンポジウムの注目講演(イメージセンサー技術)。プログラムとプレスキットから筆者がまとめた

 シリコンフォトニクス技術では、技術開発企業のAyar Labsが128Gbps(8レーン×16Gbps)の光トランシーバを報告する(講演番号JFS3-2)。マイクロリング変調器を使ったWDM(波長分割多重)方式のトランシーバを試作し、誤りゼロで12時間ほど動作することを確認した。

 imecなどは、グラフェンを使った電界吸収(EA)型光変調器を300mmウェハのCMOS製造ラインで試作した(講演番号JFS3-3)。変調帯域幅は14.9GHz(3dB、動作長50μm)である。90%の製造歩留まりを得ている。

 Intelは、波長当たり112Gbpsの4波長WDM光送信器を開発した(講演番号JFS3-4)。400Gイーサネット向けである。マイクロリング変調器に光電流検出回路(温度計測用)とヒーターを組み込んで温度を制御し、波長を安定化した。

技術シンポジウムの注目講演(シリコンフォトニクス技術)。プログラムとプレスキットから筆者がまとめた

 このほか3次元集積技術では、Media Tekがシリコンダイ間を極短距離で結ぶパッケージング技術を報告する(講演番号JFS1-3)。ダイ間の距離は1mmと短い。1線当たり20Gbpsの高速伝送と0.46pJ/bitの低消費エネルギーを達成し、10のマイナス25乗以下のビット誤り率(BER)を目指す。

プロセッサの性能を左右する電源技術

 ここからは、回路シンポジウムの注目講演を報告する。回路シンポジウムも、興味深い研究開発成果が少なくない。始めは電源技術である。

 Intelは、4mm角のパッケージにGaN(窒化ガリウム)パワートランジスタとSi CMOS制御回路を集積したコンバータを発表する(講演番号C3-1)。電流密度は9A/平方mmと高い。入力5Vと出力3V、スイッチング周波数3MHzの条件で最大効率94.2%を達成した。

 ETH ZurichとSTMicroelectronicsは、22nmのFD-SOI CMOSプロセスでシリコンダイにフル集積したDC-DCコンバータ回路を開発した(講演番号C3-4)。2.3GHzのスイッチング周波数で効率は69.4~78.1%。シリコン面積は5.9nHのインダクタを含めて0.59平方mmと小さい。

 KAISTなどは、最大効率が96.6%と高い昇降圧型DC-DCコンバータ回路を報告する(講演番号C10-3)。リチウムイオン電池で駆動するモバイル機器向け。入力電圧は2.8~4.2V。インダクタ相とキャパシタ相の両方に出力パスを設けることで、すべての相で負荷電流を供給し、効率を高めた。

回路シンポジウムの注目講演(電源技術)。プログラムとプレスキットから筆者がまとめた

自動車グレードの埋め込みPCMと埋め込みフラッシュ

 メモリ技術では、自動車グレードのロジックに向けた埋め込み不揮発性メモリの発表が目立つ。STMicroelectronicsは、28nmのFD-SOI技術で自動車グレードのマイコンに埋め込めるPCM(相変化メモリ)技術を報告する(講演番号C16-2)。1個の相変化記憶素子と1個のセレクタ(バイポーラトランジスタ)でメモリセルを構成する。16MB(128Mbit)のマクロを試作してみせた。特に高い信頼性の要求に応えるため、2個のメモリセルで1bitのデータ記憶するモードを備える。

 Samsungは、28nmのロジック互換で自動車グレードの埋め込みフラッシュメモリを開発した(講演番号C16-3)。2MB(16Mbit)のマクロから288bit幅のデータを100MHzで読み出せる(電源電圧は0.85V)。記憶密度は7.42Mbit/平方mm。

回路シンポジウムの注目講演(メモリ技術)。プログラムとプレスキットから筆者がまとめた

ミリ波帯域の高速信号を誘電体導波路で伝送

 有線通信技術では、シリコンダイ間の超高速伝送技術とミリ波帯域の高速伝送技術に関する発表に注目したい。

 Xilinxは、パッケージ内のシリコンダイ間接続に向けた112Gbps PAM4のトランシーバを試作した(講演番号C21-2)。伝送エネルギーは1.24pJ/bit。受信回路は連続時間線形等化器(CTLE)を装備し、0~1.2Vの受信電圧をサポートする。送信回路はサブUI(Unit Interval)2タップのフィードフォワード等化器(FFE)などを実装した。20mmの伝送距離で112Gbpsを実現し、10のマイナス12乗の誤り率を得た。トランシーバの製造技術は7nmのFinFETである。

 Intelは、134GHzの信号を3m長の誘電体導波路で伝送する50Gbpsのトランシーバを開発した(講演番号C22-1)。変調には16値QAMを採用。帯域幅は12GHz。22nmのCMOS技術で試作したトランシーバチップの誤りベクトル振幅(EVM)は-19.8dB(5×10のマイナス6乗のビット誤り率に相当)。消費電力は494mW(電源電圧1.0V)である。

回路シンポジウムの注目講演(有線通信技術)。プログラムとプレスキットから筆者がまとめた

新型コロナウイルスを高速検出するPCRバイオチップ

 このほか、バイオセンシングチップの開発企業であるInSilixaが、SARS-Cov-2(新型コロナウイルス)を含めた上気道系病原体の検出用PCR(ポリメラーゼ連鎖反応:Polymerase Chain Reaction)方式DNA(デオキシリボ核酸)増幅バイオチップを解説する(講演番号C2-1)。リアルタイム蛍光分析用光センサー群(1,024画素)と波長選択フィルタを集積した。CMOS技術で製造する。

回路シンポジウムの注目講演(バイオセンサー技術)。プログラムとプレスキットから筆者がまとめた

 このほかにも興味深い発表が少なくない。特に興味深かった講演はレポートなどで改めてご報告したいので、期待されたい。