笠原一輝のユビキタス情報局

巻き返しなるか!? Intelが今後4~5年で5世代分のプロセスノードを連投

Intelが公開したプロセスノードのロードマップ(提供:Intel)

 昨年(2020年)までのIntelは、率直に言って方向を見失った巨大客船という印象だった。Intelの企業規模は今でも世界最大の半導体メーカーだし、ビジネスモデルの健全性を占めるグロスマージンは、製造業としては破格と言ってよい60%弱という健全な財務状況だ。

 企業としての規模は巨大で健全とは言え、その基礎中の基礎の製造技術の開発では10nmの導入で足踏みしただけでなく、その次の世代となる7nmも延期が決まるなど、「大丈夫なのか?」という声が挙がっていたことは否定のしようがないだろう。

 だが、1月に新しいCEOとしてパット・ゲルシンガー氏がIntelに戻ってきて以降、その雰囲気は完全に変わり、新しく進む道を見出したように見える。

 ゲルシンガー氏は3月に「IDM 2.0」という同社の半導体メーカーとしての新戦略を発表した。IDMとはIntegrated Device Manufacturerの略で、Intelのように半導体の設計、製造、流通まで垂直的に行なっている半導体メーカーのビジネスモデルのことを指し示す用語だ。

 ゲルシンガー氏は、Intelの自社生産というIDMモデルを進化させ、他社の製造施設も積極的に利用し、かつIntel自身がファウンドリ(Intel Foundry Serviceと呼ばれる)となり、他社の半導体受託生産を本格的に行なうことなどを柱とする、新しいビジネスモデルへの移行を目指しており、それを「IDM 2.0」と呼んでいる。今後数年かけてIntelは新しい形へと姿を変えていくことになる。

 7月26日午後(米国時間)にIntelが行なったオンライン会見の中でゲルシンガー氏は、新しい製造技術のロードマップを公開し、今後4~5年の間に5世代のプロセスノード(半導体製造技術の世代のこと)を投入し、TSMCやSamsung Electronicsといったファウンドリに対して遅れを取っている製造技術に追い付き、2025年には性能面でのリーダーになると宣言した。

Intelとファウンドリの間で乖離が生じていたプロセスノードの表現

会見でスピーチするIntel CEO パット・ゲルシンガー氏(提供:Intel)

 今回のIntelの発表で重要なポイントは2つある。1つはプロセスノードの表示に、今後は「Intel 7」などの数字を導入すること、もう1つは今後1年に一度のペースで新しいプロセスルールを投入し、4~5年間で5つのプロセスノードが登場するという点だ。

 これまでロジック(CPUやGPUなどのプロセッサ)の半導体を製造しているメーカーは、製造技術の世代として「プロセスノード」という数字を、世代を象徴する言葉として利用してきた。

 この10年で言えば、22nm、14nm、10nm、7nmという具合だ。この数字は、半導体の最小単位であるトランジスタのゲートと呼ばれるスイッチ部分の線長(ゲート長)を示しており、そのゲートが小さければ小さいほど、トランジスタも小さいことを意味しており、製造技術の世代を示す指標として打って付けだったからだ。

 今回Intelが導入したのは、Intel 7、Intel 4、Intel 3、Intel 20A、Intel 18Aという新しいプロセルノードの世代を示す「ブランド名」になる。なお、Aは「Å(オングストローム)」を意味しており、1Å=0.1nmとなるので、20Aとは詰まるところ2nm、18Aとは1.8nmを指すことになる。

 Intelが、業界が慣れ親しんでいる「ナノメートル級の数字」を変えてまで、プロセッサーナンバーのような新しい「プロセスノードナンバー」を導入する背景には、Intelにとってはゲート長が他社とのプロセスノードのポジショニングを正しく表現する数字ではなくなっていることが挙げられる。

 プロセスノードの名称としてゲート長を利用するようになったのは、トランジスタのゲートがプレナーゲートと呼ばれる2Dのゲートだった頃の話で、数十年も前だ。

 2Dゲートだった頃には、ゲート長が短くなればなるほど性能は向上し、消費電力が減るという微細化のメリットをそのまま反映することができた。だから90nmは130nmよりも省電力で高性能という表現が成立していた。

2Dのプレナーゲートと3DのFinFETの違い。ゲート長は同じでもFinFETの方が性能は高い(出典:Intel Accelerated 2021 Presentation、Intel)

 しかし、現代のトランジスタはFinFETのような3D形状のゲートを採用したものに移行しており、従来の定義であるゲートの長さというだけでは表現のしようがなくなりつつある。

 Intelが当初はトライゲート・トランジスタと呼んでいた3D形状のゲート長を導入した時期から、ゲート長の短さ=性能ではなくなってきているのだ。

 このため、Intelは自社のプロセスノードは、他社の-1世代という非常に分かりにくい表現をしなければならなくなっていた。Intelの14nmは他社の10nm世代相当だし、Intelの10nmは他社の7nm世代相当であるという意味だ。

 そこで今後は、Intel 7とすれば他社の7nm相当だし、Intel 4とすれば他社の4nm相当と、よりシンプルで分かりやすくなる。こうしておけば、Intel 7の元の名称である10nm Enhanced SuperFinを説明する時に「10nmという名称ですが、進化しており他社の7nm相当ですよ」という説明が不要になる。

ハーフノードもこれからは1つのプロセスノードとして扱うという方針転換。フルとハーフを交互に毎年投入

10nm Enhanced SuperFinがIntel 7に、7nmがIntel 4へとブランドを変更(出典:Intel Accelerated 2021 Presentation、Intel)

 これまでIntelは、フルノードという完全に世代が変わったときだけを、新しいプロセスノードとして発表してきた。しかし、実際にはハーフノードと呼ばれる14nmや10nmの改良版を状況に応じて投入している。

 14nm世代の時には、14nm+や14nm++などと表現され、最終的にはいわゆる14nm++++(Intel自身はそう呼んでいないが、第4世代の改良版14nmはこう表現される)という最新世代の14nmは、10nmのものとあまり変わらない性能を持っているとされている。

 同じ事は10nmに言える。実はIntelの10nmは既に4つの世代がある。開発されたが歩留まりが上がらず結局大量出荷されなかった一番初期の10nm(10nm第1世代)、Ice Lakeの製造に利用された出荷版の10nm(10nm第2世代)、そして第11世代Core(Tiger Lake)の製造に利用されている10nm SuperFin(10nm 第3世代)が出ており、今年の後半には第4世代となる「10nm Enhanced SuperFin」で製造された製品(次世代クライアントPC向けのAlder Lake)の出荷が計画されている。

 この10nm Enhanced SuperFinが今回Intel 7に変更されることになる。

 重要なのは、Intelが今後はそうしたハーフノードも、新しいプロセスノードとして扱うと方針を変更したことだ。というのも、ファウンドリの中にはこうしたハーフノードもフルノードとして扱うところが多く、それを見ると、毎年新しいプロセスノードが投入されているように見える。つまり、Intelもそうした「業界標準」に位置付けを合わせるということにほかならない。

 そのため、本来はフルノードとして投入されるはずだった7nmは、2022年の後半に「Intel 4」として投入され、「Intel 4」の改良版だったものが「Intel 3」として2023年に投入される。

フルノードに相当するIntel 20AではRibbon FETとPowerViaが導入される(出典:Intel Accelerated 2021 Presentation、Intel)

 そして2024年には新しい3Dゲートとなる「RibbonFET」が登場。電源層をチップの下部に集中させることで信号の品質などが向上させる「PowerVia」などの新しい技術を搭載したフルノード相当の「Intel 20A」が投入され、2025年にはその改良版となる「Intel 18A」が出てくる。

【表】フルノードとハーフノードの登場順
導入年名称フル/ハーフ
2021年Intel 7ハーフ
2022年Intel 4フル
2023年Intel 3ハーフ
2024年Intel 20Aフル
2025年Intel 18Aハーフ

 つまり、フルノードとハーフノードを毎年交互に導入していく、それがIntelのプロセスノード・ロードマップの特徴だ。2024年に導入するIntel 20Aで一挙に性能を引き上げ、その改良版となるIntel 18Aで他社を引き離し、TSMCに奪われている製造技術でのリードを奪い返す、そういう戦略だと考えることができる。

3月に発表したIDM 2.0戦略を加速するための最後のピースだった製造技術

IDM 2.0(出典:Intel Accelerated 2021 Presentation、Intel)

 Intelがこうした強力な製造技術のロードマップを打ち出すのも、3月に打ち出した「IDM 2.0戦略」の最後のピースが、製造技術のキャッチアップだったからだ。

 IDM 2.0でIntelは3つの要素を挙げている。

  1. 自社製品(CoreやXeonなど)のための製造
  2. 他社の製造施設(ファウンドリ)を利用した自社製品の製造
  3. 他社向けの受託製造(IFS:Intel Foundry Service)

 従来のIDMでは(1)しかなかったのに、(2)と(3)という新しい要素を組み合わせるので、第2世代のIDMという意味でIDM 2.0と呼んでいるわけだ。

インテル株式会社 執行役員常務 技術本部本部長 土岐英秋氏

 インテル株式会社 執行役員常務 技術本部本部長 土岐英秋氏によれば、IntelがこうしたIDM 2.0という新しい戦略に打って出る背景には、やはり昨今騒がれている半導体不足が背景にあるという。

 土岐氏は「今や半導体はライフラインになりつつあり、半導体の供給を止めてしまうと立ちゆかない産業も出始めている。そうした様々な産業に対してバランス良く無駄をなくして、安価で高い性能の半導体を供給する。それがIDM 2.0だと考えている」とし、今後数年は半導体の逼迫が続いていく可能性が高いことを示唆している。

 そうした時に、特に最先端のプロセスルールを持っているファウンドリはと言うと、現在のところTSMCとSamsungの二者択一という現状がある。Global Foundriesは微細化のトレンドから脱落しつつあり、米国政府の制裁を受けて中国系のファウンドリ(SMICなど)も同様の状況になりつつある。

 そこにIntelが追い付いて行けば、2社が3社になり、ファブレスの半導体メーカーにとっては大きく選択肢が広がることになる。

 土岐氏によれば、Intelの強みはそうした前工程(ウェハの製造)だけでなく、後工程となるパッケージング技術も併せて提供できることだという。「既にIntelはEMIB( Embedded Multi-die Interconnect Bridge)やFoverosといった2.5D、3Dのパッケージング技術を持っている。そしてそうした工程を一気通貫で提供できることにある」とし、Intelの強みを挙げた。

Amazonのクオート(出典:Intel Accelerated 2021 Presentation、Intel)

 実際その効果は出ており、今回の記者会見では、AWS(Amazon Web Services)がIntelのパッケージング技術を利用して半導体を製造する契約を結んだと発表されている。Amazonはその中で、Intelの製造施設を使ってデータセンター向けの製品を製造する計画があることを明らかにしている。

 現在AWSは「Graviton プロセッサ」というデータセンター向けのArm CPUをファウンドリで製造しているが、それをIFS(Intel Foundry Service)で製造するようになれば、CPUのアーキテクチャはArmではなく、x86にすることも可能だ(IFSではIntelのIPも顧客に提供される計画で、その中にはx86 CPUも含まれている)。

 今回の発表ではそれについて触れられていないが、そうしたArmとの競争という観点でもIFSが優位に働く可能性を、この発表は示唆していると言える。

QualcommはIntel 20Aのラインを抑えに。Intelに求められるのは着実なロードマップの実行という実績

既に発表されているアリゾナとニューメキシコの新工場に費やされる投資(出典:Intel Accelerated 2021 Presentation、Intel)

 Intelにとって重要な課題は、こうして発表した強力なロードマップを、遅延なく実行できるかどうかだ。というのもIntelは、10nmの立ち上げを年単位で遅延させ、7nm(これからはIntel 4)も半年以上遅延させている。

 以前のIntelでは考えられないような、こういった遅延が何を意味するのか全貌は明らかになっていないが、技術的あるいは組織的な何らかの問題を抱えていたことは想像に難くない。

 これからの4~5年で導入する新しいプロセスノードをロードマップ通りに出せなければ、その信用は決定的に傷付くことになり、Intelにとってもこれが土俵際であることは間違いないだろう。

今年中に欧米のどこかに新工場を建設する計画を発表する予定(出典:Intel Accelerated 2021 Presentation、Intel)

 そして、製造キャパシティの拡張に関しても待ったなしだ。既にIntelはアリゾナとニューメキシコに新工場を建設することを明らかにしている。会見でゲルシンガー氏は「2021年中に欧米で新しい工場の建設を発表できるだろう」と述べており、今年中にはさらなる製造キャパシティ増加に向けた投資を行なう計画だとする。こうした計画を着実に実行していくことが、今のIntelに求められている。

QualcommはIntel 20Aへのコミットメントを発表。平易に言えば2024年のIntel 20Aのラインを抑えたということだ

 ただ、そうした計画を聞いて、既にこのプランに賭ける顧客も現われている。それが、最近CEOに就任したばかりのクリスチアーノ・アーモン氏率いるQualcommだ。

 AMDのリサ・スー氏、NVIDIAのジェンスン・フアン氏と並び、テクノロジが分かるCEOとして知られるアーモン氏だが、冷静で先を見通せるアーモン氏がIFSに掛け金を詰んだということは、Intelにとっては大きな勝利と言える。

 言うまでもなく、QualcommのPC向けのSnapdragonは、IntelのPC向けプロセッサのライバルであり、今後より強力な競合になっていく可能性が高い。それなのにアーモン氏がIntel 20Aを提供するIFSの利用を発表したということは、自社にもメリットがあるという裏付けを彼は得たということだ。

 筆者がもしギャンブルをするお金があって、3年後に賭けるというのであれば、アーモン氏の後ろに並んでみるかもしれない。最も答えが出るのは4年後だ。