ニュース

Intel、半導体技術首位の座を2025年に奪還する意欲的な新ロードマップ

Intel Acceleratesで説明するIntel CEO パット・ゲルシンガー氏。2025年に半導体製造技術で他社に対して明確なパフォーマンスリーダーになると宣言

 Intelは7月26日(現地時間)にオンライン会見「Intel Accelerates」を開催し、同社の半導体製造技術に関するアップデートを発表した。この中で、Intelは、新しい製造技術の呼び方と2025年までの新しい半導体製造技術のロードマップを公開した。

 従来は10nm、7nmのように半導体のゲート長(トランジスタのスイッチ部分の物理的な長さ)により示されていた世代(プロセスノード)の表現は見直され、従来10nm Enhanced Super Finと呼ばれていた世代が「Intel 7」に、これまで7nmと呼ばれてきた同社としては初めてEUVに対応した世代が「Intel 4」に、それ以降は「Intel 3」、「Intel 20A」、「Intel 18A」と呼ばれ2025年までに順次投入される。

 2024年に予定されるIntel 20AではRibbon FET(リボンフェット)、PowerVia(パワービア)という新しい技術が導入される計画で、同社CEOのパット・ゲルシンガー氏は「Intelは2025年に製造技術でのリーダーになる」と宣言。新しい技術を導入することで、TSMCなどに奪われている製造技術での技術的なリーダーシップを2025年に奪還することを掲げた。

 また、Intelは新しいパッケージング技術として、既に導入している2.5DのEMIB、3DのFoverosに加えて、Foverosの拡張となるFoveros Omni、Foveros Directを導入することを明らかにした。

プロセスノードの世代表現は「ゲート長」からIntel独自の世代表現へ移行

Intel CEO パット・ゲルシンガー氏

 Intelは今回の「Intel Accelerates」で、半導体の製造技術に関しての重要なアップデートを行なった。大きくいうと2つあり、1つは「プロセスノード」の呼び方をゲート長による従来の呼び方から新しい呼び方への転換であり、もう1つがそのプロセスノードのロードマップの更新だ。

 一般的に半導体業界では半導体の製造技術の世代(プロセスノードと呼ばれる)を説明する場合に、半導体の最小単位であるトランジスタのスイッチ回路である「ゲート」と呼ばれる部分の長さ(ゲート長)で示すのが一般的だ。Intelで言えば、現在の第11世代Coreの製造に利用されている10nmは、ゲート長が10nmであるのでそうした名前がついている。その1つ前の世代は14nm、2つ前の世代は22nmで、それぞれゲート長が14nm、22nmであることに由来している。

 ゲートの長さが短くなれば、トランジスタのサイズも小さくなるので、同じダイサイズ(1チップの底面積のこと)に、より多くのトランジスタを詰め込むことが可能になり、半導体の性能が向上することになる。

従来のゲート(プレナーゲート)は2D構造
FinFET以降のゲートは3D構造、単純にゲート長だけで性能を語るのが難しくなっている

 ただ、Intelが2011年に最初に導入したFinFET、いわゆる3Dゲートトランジスタと呼ばれる3D形状のゲート構造が導入されたことで、2Dの長さを示すゲート長だけでは世代の性能を指し示すことが難しくなってきている。

 例えば、Intelは常々自社のプロセスノードは他社の-1世代よりも高い性能を実現すると主張している。例えばIntelの14nmであれば、他の10nmと同等かそれよりも、Intelの10nmであれば他社の7nmと同等かそれよりも高性能とアピールしている。ゲートが3Dになったためそのパラメータも考慮に入れて話をする必要があるため、単純にゲート長だけでは世代の性能をもはや比較できないということだ。

Intelのプロセスノードのロードマップ

 そこで今回Intelが導入したのが、プロセスノードの世代を、ゲート長ではなくブランド名で表現するという新しいスキームだ。CPUの型番がもはやクロックで表現されておらず、プロセッサーナンバーで表現しているのと同じ仕組みを、プロセスノードにも導入する、いわば「プロセスノードナンバー」のようなものだと考えればわかりやすいだろう。それが「Intel 7」、「Intel 4」、「Intel 3」、「Intel 20A」、「Intel 18A」の各世代となる。

今年後半のIntel 7から1年ごとに新しい世代のプロセスノードを投入

10nm Enhanced SuperFinと呼ばれていたプロセスノードはIntel 7に、電力あたりの性能は10~15%改善

 Intelは今年(2021年)後半に「10nm Enhanced SuperFin」と呼ばれる次世代のプロセスノードを投入する計画だったが、今後は「Intel 7」という名称に変更される。他社の7nm相当のプロセスノードであることを意識した名称だと考えられる。Intel 7では、現行の10nm SuperFinと比較して10~15%程度電力あたりの性能が改善される。

 Intel 7は、今年後半に投入を計画しているPCクライアント向け次世代プロセッサとなるAlder Lakeと、2022年前半に投入が計画されているデータセンター向け次世代プロセッサのSapphire Rapidsの製造に利用される。

Intel 7はAlder LakeやSapphire Rapidsの製造に利用される
Intel 4はMeteor LakeとGranite Rapidsの製造に利用される
Meteor Lakeのコンピュートタイルは既にテープイン(製造が可能になる形に落とし込んだこと)されたと明らかに
Intel 4はEUVリソグラフィを利用して製造される

 そして2022年後半に製造が開始され、2023年に出荷される製品に利用されるのが「Intel 4」。Intel 4は従来Intelが「7nm」として開発してきたプロセスノードで、EUV(極端紫外放射)リソグラフィに対応する最初の世代になる。Intel 7と比較して平均して20%の電力あたりの性能が改善される。2023年に投入が計画されているクライアントPC向けのMeteor Lake、データセンター向けのGranite Rapidsの製造に利用される。

Intel 3はFinFETの最適化などで18%改善

 2023年にはIntel 4の後継として「Intel 3」が導入される。Intel 3はFinFETのさらなる最適化が行なわれるほか、EUVの強化が行なわれ、Intel 4に比べて電力あたりの性能が18%改善する。Intel 3は2023年後半に投入される製品の製造に利用される。

Intel 20A
「RibbonFET」、「PowerVia」の2つの新技術が投入される。

 2024年には「Intel 20A」が投入される。このIntel 20Aでは新しいブレークスルーとなる技術として「RibbonFET」、「PowerVia」の2つが投入される。

RibbonFET
PowerVia
従来の製品では電源のVia(貫通孔)は上から下まで貫通していた
PowerViaでは半導体の下部に電源のViaが集中している

 RibbonFETは、FinFETをさらに強化した3Dトランジスタ技術で、FinFETと同じスイッチングスピードと電力消費を維持しながら、底面積を小さくできる。PowerViaは、チップの裏面には電源を供給するためのVia(貫通孔)を集中させる仕組みで、ノイズを減らし信号品質を大幅に改善することができるという。IntelはこのIntel 20Aを利用してIntelのファンドリーサービス「Intel Foundry Service」(IFS)で半導体を製造する顧客としてQualcommとの契約が成立したことを発表している。

QualcommがIntel 20Aを利用してIntelの工場で委託製造契約を結んだと発表された

 さらに、2025年には「Intel 18A」を投入する計画で、RibbonFETの改良などによりIntel 20Aからさらに性能を改善するという。また第2世代EUVリソグラフィとなるHigh NA EUVなどの導入も計画されており、ASMLなどの製造装置サプライヤーなどと協力しながら開発を続けると明らかにしている。

 Intel CEOのパット・ゲルシンガー氏は「我々は今後4年間で、5世代のプロセスノードを投入する。そして2025年には製造技術で、誰も疑問を持たないようなリーダシップを確立する」と述べ、今年後半に製造された製品の投入が始まるIntel 7、Intel 4(2022年後半に製造開始、2023年ボリューム出荷)、Intel 3(2023年)、Intel 20A(2024年)、Intel 18A(2025年)と毎年新しいプロセスノードを導入していくことで、現在TSMCやSamsungなどのファウンダリに対して遅れをとっている状況を覆して、再びIntelが製造技術で他社をリードする状況にしていくと強くアピールした。

3Dパッケージング技術はさらに進化して、ダイ構成の柔軟さや高密度のダイスタッキングが実現される

Foveros Omni

 Intelはパッケージング技術に関しても、2.5DのEMIB、3DのFoverosの拡張を発表した。

 今回発表されたのはEMIBのさらなる微細バンプピッチ(40マイクロン)のサポート、次世代Foverosの微細バンプピッチ(36マイクロン)のサポートにより、Meteor LakeではTDP 5~125Wまでサポートすることが可能になることなどが明らかにされた。

次世代EMIB
Meteor LakeのFoveros
Foveros Direct

 Foverosの拡張となるのがFoveros OmniとFoveros Direct。Foveros Omniはダイとダイの間をつなぐインターコネクトとモジュラーデザインの導入により、表面のダイを複数にしたり、ベースダイと呼ばれる表面のダイの下に置くダイも複数にしたりすることが可能になるほか、世代の違うダイを混載することなどが可能になる。また、Foveros Directでは10マイクロン以下のバンプピッチが実現され、さらに高密度の3Dダイスタッキングが可能になる。

AWSがIFSでの委託生産に3Dパッケージング技術を利用すると発表

 Intelによれば、Foveros Omniは2023年に大量生産される製品に採用される見通しで、Foveros Directも同じく2023年に利用可能になる見通し。こうしたIntelのパッケージング技術は、IFSを通じてファウンダリサービスの顧客にも提供されることが既に明らかにされているが、AWS(Amazon Web Services)が最初の顧客になることが今回発表された。

今年の末までに欧米にさらなる工場の拡張を発表すると予告もされた